Инструменты пользователя

Инструменты сайта


технологический-процесс-в-электронной-промышленности

Различия

Здесь показаны различия между двумя версиями данной страницы.

Ссылка на это сравнение

Следующая версия
Предыдущая версия
технологический-процесс-в-электронной-промышленности [2015/11/03 14:32]
stalko создано
технологический-процесс-в-электронной-промышленности [2015/11/03 19:50] (текущий)
Строка 24: Строка 24:
 Технологии производства полупроводниковой продукции с субмикронными размерами элементов основана на чрезвычайно широком круге сложных физико-химических процессов:​ получение тонких плёнок термическим и ионно-плазменным распылением в вакууме,​ механическая обработка пластин производится по 14-му классу чистоты с отклонением от плоскостности не более 1 мкм, широко применяется [[ультразвук]] и [[Лазерная стереолитография|лазерное излучение]],​ используются отжиг в кислороде и водороде,​ рабочие температуры при плавлении металлов достигают более 1500 °C, при этом диффузионные печи поддерживают температуру с точностью 0,5 °C, широко применяются опасные химические элементы и соединения (например,​ [[белый фосфор]]).\\ Технологии производства полупроводниковой продукции с субмикронными размерами элементов основана на чрезвычайно широком круге сложных физико-химических процессов:​ получение тонких плёнок термическим и ионно-плазменным распылением в вакууме,​ механическая обработка пластин производится по 14-му классу чистоты с отклонением от плоскостности не более 1 мкм, широко применяется [[ультразвук]] и [[Лазерная стереолитография|лазерное излучение]],​ используются отжиг в кислороде и водороде,​ рабочие температуры при плавлении металлов достигают более 1500 °C, при этом диффузионные печи поддерживают температуру с точностью 0,5 °C, широко применяются опасные химические элементы и соединения (например,​ [[белый фосфор]]).\\
 Всё это обусловливает особые требования к производственной гигиене,​ так называемую «электронную гигиену»,​ ведь в рабочей зоне обработки полупроводниковых пластин или на операциях сборки кристалла не должно быть более пяти пылинок размером 0,5 мкм в 1 л воздуха. Поэтому в [[Чистые помещения|чистых комнатах]] на фабриках по производству подобных изделий все работники обязаны носить специальные комбинезоны((В качестве средств индивидуальной защиты применяют спецодежду,​ изготовленную из металлизированной ткани (комбинезоны,​ халаты,​ передники,​ куртки с капюшонами и вмонтированными в них защитными очками) Всё это обусловливает особые требования к производственной гигиене,​ так называемую «электронную гигиену»,​ ведь в рабочей зоне обработки полупроводниковых пластин или на операциях сборки кристалла не должно быть более пяти пылинок размером 0,5 мкм в 1 л воздуха. Поэтому в [[Чистые помещения|чистых комнатах]] на фабриках по производству подобных изделий все работники обязаны носить специальные комбинезоны((В качестве средств индивидуальной защиты применяют спецодежду,​ изготовленную из металлизированной ткани (комбинезоны,​ халаты,​ передники,​ куртки с капюшонами и вмонтированными в них защитными очками)
-— Городилин В. М., Городилин В. В. § 21. Излучения,​ их действия на окружающую среду и меры борьбы за экологию. <​nowiki>//</​nowiki>​ Регулировка радиоаппаратуры. — Издание четвёртое,​ исправленное и дополненное. — М.: Высшая школа, 1992. — С. 79. — ISBN 5-06-000881-9.)). В рекламных материалах Intel спецодежда работников получила название bunny suit («костюм кролика») (([[http://​www.intel.com/​cd/​corporate/​museum/​emea/​rus/​359569.htm|Миниатюрность и чистота]])) (([[http://​www.intel.com/​about/​companyinfo/​museum/​exhibits/​sandtocircuits/​facts.htm|Intel Museum — From Sand to Circuits]])).\\ +— Городилин В. М., Городилин В. В. § 21. Излучения,​ их действия на окружающую среду и меры борьбы за экологию. <​nowiki>//</​nowiki>​ Регулировка радиоаппаратуры. — Издание четвёртое,​ исправленное и дополненное. — М.: Высшая школа, 1992. — С. 79. — ISBN 5-06-000881-9.)). В рекламных материалах Intel спецодежда работников получила название ​//bunny suit// («костюм кролика») (([[http://​www.intel.com/​cd/​corporate/​museum/​emea/​rus/​359569.htm|Миниатюрность и чистота]])) (([[http://​www.intel.com/​about/​companyinfo/​museum/​exhibits/​sandtocircuits/​facts.htm|Intel Museum — From Sand to Circuits]])).\\ 
 +====Техпроцессы 1970-ых - 1980-ых==== 
 +Ранние техпроцессы,​ до стандартизации NTRS (National Technology Roadmap for Semiconductors) и ITRS, обозначались "xx мкм"​ (xx микрон),​ где xx сперва обозначало техническое разрешение литографического оборудования,​ затем стало обозначать длину затвора транзистора,​ полушаг линий металла (half pitch) и ширину линий металла. В 1970-ых существовало несколько техпроцессов,​ в частности 10, 8, 6, 4, 3, 2 мкм; в среднем,​ каждые три года происходило уменьшение шага с коэффициентом 0.7((H. Iwai [[http://​www.eet.bme.hu/​~mizsei/​Nanoelektronika/​roadmap22nm.pdf|Roadmap for 22 nm and beyond]] (англ.) <​nowiki>//</​nowiki>​ Microelectronic Engineering. — Elsevier, 2009. — Vol. 86, fasc. 7-9. — P. 1520–1528. — DOI:​[[https://​dx.doi.org/​10.1016%2Fj.mee.2009.03.129|10.1016/​j.mee.2009.03.129]].;​ slides))\\ 
 +===3 мкм=== 
 +**3 мкм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому в 1975 году [[Zilog]] ([[Z80]]) и в 1979 году [[Intel]] ([[Intel 8086]]). Соответствует линейному разрешению литографического оборудования,​ примерно равному 3 мкм.\\ 
 +===1,5 мкм=== 
 +**1,5 мкм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому [[Intel]] в 1982 году. Соответствует линейному разрешению литографического оборудования,​ примерно равному 1,5 мкм. 
 +  * [[Intel 80286]] 
 +===0,8 мкм=== 
 +**0,8 мкм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому в конце 1980-х — начале 1990-х годов компаниями Intel и [[IBM]]. 
 +  * [[Intel 80486]] (1989 год) 
 +  * [[MicroSPARC]] I (1992 год) 
 +  * Первые Intel P5 [[Pentium]] на частотах 60 и 66 МГц (1993 год) 
 +===0,6-0,5 мкм=== 
 +Техпроцесс,​ достигнутый производственными мощностями компаниями Intel и IBM в 1994—1995 годах. 
 +  * 80486DX4 CPU (1994 год) 
 +  * IBM/​Motorola [[PowerPC]] 601, первый чип архитектуры PowerPC 
 +  * Intel Pentium на частотах 75, 90 и 100 МГц 
 +  * [[МЦСТ-R100]] (1998 г., 0,5 мкм, 50 МГц) 
 +====Техпроцессы после середины 1990-ых==== 
 +Обозначения для техпроцессов,​ внедренных начиная с середины 1990-ых годов, были стандартизованы NTRS и ITRS и стали называться "​Technology Node" или "​Cycle"​. Реальные размеры затворов транзисторов логических схем стали несколько меньше,​ чем обозначено в названии техпроцессов 350 нм - 45 нм, благодаря внедрению технологий resist-pattern-thinning и resist ashing. С этих пор коммерческие названия техпроцессов перестали соответствовать длине затвора.((H. Iwai [[http://​www.eet.bme.hu/​~mizsei/​Nanoelektronika/​roadmap22nm.pdf|Roadmap for 22 nm and beyond]] (англ.) <​nowiki>//</​nowiki>​ Microelectronic Engineering. — Elsevier, 2009. — Vol. 86, fasc. 7-9. — P. 1520–1528. — DOI:​[[https://​dx.doi.org/​10.1016%2Fj.mee.2009.03.129|10.1016/​j.mee.2009.03.129]].;​ slides))(([[http://​www.edn.com/​electronics-news/​4317771/​What-does-45-nm-mean-anyway-|What does '​45-nm'​ mean, anyway?]] <​nowiki>//</​nowiki>​ EDN, October 22, 2007 "The result was that by about 350 nm (actually called 0.35 micron in those days), the "350 nm" had become simply the name of the process rather than a measure of any physical dimension. "​))\\ 
 +С переходом на следующий техпроцесс ITRS площадь,​ занимаемая стандартной ячейкой 1 бита памяти SRAM, в среднем уменьшалась вдвое. В период с 1995 по 2008 года такое удвоение плотности транзисторов происходило в среднем каждые 2 года((H. Iwai [[http://​www.eet.bme.hu/​~mizsei/​Nanoelektronika/​roadmap22nm.pdf|Roadmap for 22 nm and beyond]] (англ.) <​nowiki>//</​nowiki>​ Microelectronic Engineering. — Elsevier, 2009. — Vol. 86, fasc. 7-9. — P. 1520–1528. — DOI:​[[https://​dx.doi.org/​10.1016%2Fj.mee.2009.03.129|10.1016/​j.mee.2009.03.129]].;​ slides)). 
 +===350 нм (0,35 мкм)=== 
 +**350 нм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому в 1997 году ведущими компаниями-производителями микросхем,​ такими как [[Intel]], [[IBM]], и [[TSMC]]. Соответствует линейному разрешению литографического оборудования,​ примерно равному 0,35 мкм. 
 +  * Intel [[Pentium MMX]] (P55) 
 +  * Intel [[Pentium Pro]] 
 +  * [[Pentium II]] (Klamath) 
 +  * [[МЦСТ-R150]] (2001 г., 150 МГц) 
 +===250 нм=== 
 +**250 нм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому в 1998 году ведущими компаниями-производителями микросхем. Соответствует линейному разрешению литографического оборудования,​ примерно равному 0,25 мкм.\\ 
 +Используется до 6 слоёв металла,​ минимальное количество литографических масок 22. 
 +  * [[Pentium II]] (Deschutes) 
 +  * [[Pentium III]] (Katmai) 
 +===180 нм=== 
 +**180 нм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому в 1999 году ведущими компаниями-производителями микросхем. Соответствует удвоению плотности размещения по отношению к предыдущему техпроцессу 0,25 мкм.\\ 
 +Содержит до 6-7 слоёв металла. Минимальное количество литографических масок около 22. 
 +  * AMD [[Athlon XP]] (Palomino) 
 +  * Intel [[Pentium III]] (Coppermine) 
 +===130 нм=== 
 +**130 нм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому в 2000—2001 годах ведущими компаниями-производителями микросхем. В соответствии с моделями ITRS[6], соответствует удвоению плотности размещения элементов по отношению к предыдущему техпроцессу 0,18 мкм. 
 +  * Intel [[Pentium III]] Tualatin 
 +  * Intel [[Celeron]] Tualatin-256 — октябрь 2001 
 +  * Intel [[Pentium M]] Banias — март 2003 
 +  * Intel [[Pentium 4]] Northwood — январь 2002 
 +  * Intel [[Celeron]] Northwood-128 — сентябрь 2002 
 +  * Intel [[Xeon]] Prestonia и Gallatin — февраль 2002 
 +  * AMD [[Athlon XP]] Thoroughbred,​ Thorton и Barton 
 +  * AMD [[Athlon MP]] Thoroughbred — август 2002 
 +  * AMD [[Athlon XP-M]] Thoroughbred,​ Barton и Dublin 
 +  * AMD [[Duron]] Applebred — август 2003 
 +  * AMD K7 [[Sempron]] Thoroughbred-B,​ Thorton и Barton — июль 2004 
 +  * AMD K8 [[Sempron]] Paris — июль 2004 
 +  * AMD [[Athlon 64]] Clawhammer и Newcastle — сентябрь 2003 
 +  * AMD [[Opteron]] Sledgehammer — июнь 2003 
 +  * МЦСТ [[Эльбрус 2000]] (1891BM4Я) — июль 2008 
 +  * [[МЦСТ-R500S]] (1891ВМ3) — 2008, 500 МГц 
 +====Техпроцессы менее 100 нм==== 
 +Для обозначения более тонких техпроцессов разные технологические альянсы могут следовать различным рекомендациям (Foundry/​IDM). В частности,​ TSMC использует обозначения 40 нм, 28 нм и 20 нм для техпроцессов,​ сходных по плотности с процессами Intel 45 нм, 32 нм и 22 нм соответственно((Scotten Jones. [[https://​www.semiwiki.com/​forum/​content/​3884-who-will-lead-10nm.html|Who Will Lead at 10nm?]], SemiWiki (29 сентября 2014))). 
 +===90 нм=== 
 +**90 нм** — техпроцесс,​ соответствующий уровню полупроводниковой технологии,​ которая была достигнута к 2002—2003 годам. В соответствии с моделями ITRS(([[http://​www.realintent.com/​real-talk/​911/​semiconductor-design-technology-and-system-drivers-roadmap-process-and-status-part-3|Semiconductor Design Technology and System Drivers Roadmap: Process and Status – Part 3]], 2013: "ITRS MPU driver model ..scaled the number of logic transistors .. by 2× per technology node. Since dimensions shrink by 0.7× per node, and nominal layout density therefore doubles, this simple scaling model allows die size to remain constant across technology nodes."​)),​ соответствует удвоению плотности размещения элементов по отношению к предыдущему техпроцессу 0,13 мкм.\\ 
 +Технологический процесс с проектной нормой 90 нм часто используется с технологиями напряженного кремния,​ медных соединений с меньшим сопротивлением,​ чем у ранее применяемого алюминия,​ а также c новыми диэлектрическими материалами с низкой диэлектрической проницаемостью. 
 +  * Intel Pentium 4 (Prescott) 
 +  * [[МЦСТ-4R]] (готовится к выпуску,​ 4 ядра, 1 ГГц) 
 +  * AMD [[Turion 64 X2]] (мобильный) 
 +  * [[Эльбрус-S]] - 2010 
 +===65 нм=== 
 +**65 нм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому к 2004 году ведущими компаниями-производителями микросхем. В соответствии с моделями ITRS(([[http://​www.realintent.com/​real-talk/​911/​semiconductor-design-technology-and-system-drivers-roadmap-process-and-status-part-3|Semiconductor Design Technology and System Drivers Roadmap: Process and Status – Part 3]], 2013: "ITRS MPU driver model ..scaled the number of logic transistors .. by 2× per technology node. Since dimensions shrink by 0.7× per node, and nominal layout density therefore doubles, this simple scaling model allows die size to remain constant across technology nodes."​)),​ соответствует удвоению плотности размещения элементов по отношению к предыдущему техпроцессу 90 нм. 
 +  * Intel [[Pentium 4]] (Cedar Mill) — 2006-01-16 
 +  * Intel [[Pentium D]] 900-series — 2006-01-16 
 +  * Intel [[Celeron D]] (Cedar Mill cores) — 2006-05-28 
 +  * Intel [[Celeron M]] 
 +  * Intel [[Core]] — 2006-01-05 
 +  * Intel [[Core 2]] — 2006-07-27 
 +  * Intel [[Core 2 Duo]] 
 +  * Intel [[Core 2 Quad]] 
 +  * Intel [[Xeon]] — 2006-03-14 
 +  * AMD [[Athlon 64]] — 2007-02-20 
 +  * [[AMD Phenom]] X3, X4 
 +  * AMD [[Turion 64 X2]] (мобильный) 
 +  * AMD [[Turion 64 X2 Ultra]] (мобильный) 
 +  * STI [[Cell]] — PlayStation 3 — 2007-11-17 
 +  * Microsoft [[Xbox 360]] «Falcon» CPU — 2007-09 
 +  * Microsoft [[Xbox 360]] «Opus» CPU — 2008 
 +  * Microsoft [[Xbox 360]] «Jasper» CPU — 2008-10 
 +  * Microsoft [[Xbox 360]] «Jasper» GPU — 2008-10 
 +  * Sun [[UltraSPARC T2]] — 2007-10 
 +  * TI [[OMAP 3]] — 2008-02 
 +  * [[VIA Nano]] — 2008-05 
 +  * [[Loongson]] — 2009 
 +  * [[Эльбрус-4С]] — 2014 
 +===45 нм / 40 нм=== 
 +**45 нм и 40 нм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому к 2006—2007 годах ведущими компаниями-производителями микросхем. В соответствии с моделями ITRS(([[http://​www.realintent.com/​real-talk/​911/​semiconductor-design-technology-and-system-drivers-roadmap-process-and-status-part-3|Semiconductor Design Technology and System Drivers Roadmap: Process and Status – Part 3]], 2013: "ITRS MPU driver model ..scaled the number of logic transistors .. by 2× per technology node. Since dimensions shrink by 0.7× per node, and nominal layout density therefore doubles, this simple scaling model allows die size to remain constant across technology nodes."​)),​ соответствует удвоению плотности размещения элементов по отношению к предыдущему техпроцессу 65 нм.\\ 
 +Для микроэлектронной промышленности стал революционным,​ так как это был первый техпроцесс,​ использующий технологию //​high-k/​metal gate//​(([[http://​www.intel.com/​pressroom/​kits/​45nm/​index.htm| PRESS KIT - First 45nm Chips: Eco-Friendly. Faster. ‘Cooler’]]))(([[http://​download.intel.com/​pressroom/​kits/​45nm/​Press45nm107_FINAL.pdf|Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors]])) (HfSiON/TaN в технологии компании Intel), для замены физически себя исчерпавших SiO2/​poly-Si 
 +  * Intel [[Core 2 Duo]] 
 +  * Intel [[Core 2 Quad]] 
 +  * [[AMD Phenom]] II X2, X3, X4, X6 
 +  * AMD [[Athlon II]] X2, X3, X4 
 +  * Fujitsu [[SPARC64 VI|SPARC64 VIIIfx]] 
 +  * [[XCGPU]] ([[APU]] от GlobalFoundries,​ с 2010) 
 +===32 нм / 28 нм=== 
 +**32 нм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому к 2009—2010 годах ведущими компаниями-производителями микросхем. В соответствии с моделями ITRS(([[http://​www.intel.com/​pressroom/​kits/​45nm/​index.htm| PRESS KIT - First 45nm Chips: Eco-Friendly. Faster. ‘Cooler’]]))(([[http://​download.intel.com/​pressroom/​kits/​45nm/​Press45nm107_FINAL.pdf|Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors]])),​ соответствует удвоению плотности размещения элементов по отношению к предыдущему техпроцессу 45 нм.\\ 
 +Осенью 2009 компания Intel находилась на этапе перехода к этому новому техпроцессу(([[http://​www.intel.com/​technology/​architecture-silicon/​32nm/​index.htm|Intel 32nm Logic Technology]]))(([[http://​news.modnews.ru/​view/​5983|процессоры Intel по 32-нм технологии]]))(([[http://​download.intel.com/​pressroom/​kits/​events/​idffall_2009/​pdfs/​FactSheet-Intel_32nm_process_technology.pdf|New Details on Intel’s Upcoming 32nm Logic Technology]]))(([[http://​download.intel.com/​pressroom/​kits/​32nm/​westmere/​Intel_32nm_Overview.pdf|White Paper Introduction to Intel’s 32nm Process Technology]]))(([[http://​download.intel.com/​technology/​architecture-silicon/​32nm/​IEDM_09_32nm_SOC_abstract.pdf|High Performance 32nm Logic Technology Featuring 2nd Generation High-k + Metal Gate Transistors]])). С начала 2011 начали производиться процессоры по данному техпроцессу.\\ 
 +В третьем квартале 2010 года на новых мощностях расположенной на Тайване фабрики Fab 12 компании TSMC начался серийный выпуск продукции по технологии,​ получившей маркетинговое обозначение "​28-нанометров"​(([[http://​www.ixbt.com/​news/​hard/​index.shtml?​12/​86/​73|TSMC преодолела сложности 40-нанометровой технологии и в этом году начнет выпуск по нормам 28 нм]])) (не является обозначением,​ рекомендуемым ITRS). 
 +  * Intel [[Sandy Bridge]] 
 +  * [[Intel Saltwell]] 
 +  * AMD [[Bulldozer (микроархитектура)|Bulldozer]] 
 +  * AMD Piledriver (второе поколение Bulldozer) 
 +  * [[APU]] от AMD: [[Llano]] и [[Trinity (процессор)|Trinity]] (второе поколение AMD APU) 
 +  * Многоядерные процессоры Snapdragon фирмы Qualcomm. 
 +  * Мобильные процессоры Apple A7, изготовляемые Samsung. 
 +  * AMD Steamroller (третье поколение Bulldozer, ожидается к середине 2014 года)(([[http://​www.3dnews.ru/​news/​634483|AMD исправляет минусы Bulldozer в архитектуре Steamroller]]))(([[http://​www.hardwareluxx.ru/​index.php/​news/​hardware/​prozessoren/​24438-amd-kaveri-2014.html|Новая архитектура AMD "​Steamroller"​ в 2014?]])) 
 +  * [[Эльбрус-8С]] (восьмиядерный процессор серверного класса с архитектурой "​Эльбрус",​ ожидается к 2015 году)((МЦСТ. [[http://​www.mcst.ru/​novyj-8yadernyj-mikroprocessor-elbrus-8c|Новый 8-ядерный микропроцессор Эльбрус-8С]]))(([[http://​www.mcst.ru/​vosmiyadernyj-mikroprocessor-s-arkhitekturoj-elbrus|Восьмиядерный микропроцессор с архитектурой Эльбрус]])) 
 +В мае 2011 по технологии 28 нм фирмой [[Altera]] была выпущена самая большая в мире микросхема,​ состоящая из 3,9 млрд транзисторов(([[http://​www.computery.ru/​news/​news2010.php?​nid=8298|Корпорация Altera установила новый отраслевой рекорд — Программируемая вентильная матрица (FPGA) Stratix V]])).\\ 
 +===22 нм / 20 нм=== 
 +**22 нм** — техпроцесс,​ соответствующий уровню технологии,​ достигнутому к 2009—2012 гг. ведущими компаниями — производителями микросхем. Соответствует удвоению плотности размещения элементов по отношению к предыдущему техпроцессу 32 нм.\\ 
 +22-нм элементы формируются путем фотолитографии,​ в которой маска экспонируется светом с длиной волны 193 нм(([[http://​www.intel.com/​cd/​corporate/​pressroom/​emea/​rus/​archive/​2009/​432452.htm?​print&​nocc|Новости с прошедшего с 22 по 24 сентября в Сан-Франциско Форума Intel для разработчиков (Intel Developer Forum, IDF)]]))(([[http://​www.semiwiki.com/​forum/​content/​2950-rosetta-stone-lithography.html|The Rosetta Stone of Lithography]],​ 2013-11-20, по материалам Lars Leibmann, The Escalating Design Impact of Resolution-Challenged Lithography. ICCAD 2013)).\\ 
 +В 2008 году, на ежегодной выставке высоких технологий International Electron Devices Meeting в Сан-Франциско технологический альянс компаний IBM, AMD и Toshiba продемонстрировал ячейку памяти [[SRAM (память)|SRAM]],​ выполненную по 22-нм техпроцессу из транзисторов типа [[FinFET]], которые,​ в свою очередь,​ выполняются по прогрессивной технологии [[high-k]]/​metal gate (затворы транзистора изготавливаются не из кремния,​ а из гафния),​ площадью всего 0,128 мкм² (0,58×0,22 мкм)(([[http://​news.tech-labs.ru/​15_59357.html|IBM,​ AMD и Toshiba продемонстрировали первую 22-нм ячейку памяти SRAM]])).\\ 
 +Также о разработке ячейки памяти типа SRAM площадью 0,1 мкм² созданную по техпроцессу 22 нм объявили IBM и AMD(([[http://​www.matrixmen.ru/​news/​news_hardware_amd_ibm.php|IBM и AMD продемонстрируют 22 нм ячейку памяти]]))\\ 
 +Первые работоспособные тестовые образцы регулярных структур (SRAM) представлены публике компанией Intel в 2009 году(([[http://​download.intel.com/​pressroom/​kits/​events/​idffall_2009/​pdfs/​22nm_factsheet.pdf|Intel Developer Forum 22nm News Facts]])). 22-нм тестовые микросхемы представляют собой память SRAM и логические модули. SRAM-ячейки размером 0,108 и 0,092 мкм² функционируют в составе массивов по 364 млн бит. Ячейка площадью 0,108 мкм² оптимизирована для работы в низковольтной среде, а ячейка площадью 0,092 мкм² является самой миниатюрной из известных сегодня ячеек SRAM.\\ 
 +По такой технологии производятся (начала 2012 года):​\\ 
 +  * Intel [[Ivy Bridge]] / Ivy Bridge-E 
 +  * Intel [[Haswell]] (последователь Ivy Bridge, со встроенным GPU). 
 +  * Intel [[Bay Trail]]-M (мобильные Pentium и Celeron на микроархитектуре Silvermont; сентябрь 2013) 
 +===14 нм / 16 нм FinFET=== 
 +По состоянию на сентябрь 2014 TSMC продолжает разработки 16 нм техпроцесса на транзисторах с вертикально расположенным затвором (fin field effect transistor, [[FinFET]]) и планирует начать 16 нм производство в 1 квартале 2015 года(([[http://​nvworld.ru/​news/​tags/​16%20%D0%BD%D0%BC/​|TSMC начнёт 16 нм производство в 1 квартале 2015 года]])).\\ 
 +Согласно экстенсивной стратегии фирмы Intel уменьшение техпроцесса до 14 нм изначально ожидалось через год после представления чипа Haswell; процессоры на новом техпроцессе будут использовать архитектуру с названием [[Broadwell]].\\ 
 +Строительство завода под названием **Fab 42** в американском штате Аризона началось в середине 2011 года, а в эксплуатацию планировалось сдать в 2013 году. По заявлению Intel, он стал бы самым современным заводом по массовому выпуску компьютерных процессоров,​ используя 14-нанометровую технологию на основе 300-миллиметровых кремниевых пластин. Завод также стал бы первым массовым производством,​ совместимым с 450-мм пластинами.(([[http://​www.tomshardware.com/​news/​intel-fab42-14nm-cpu-factory,​14545.html|A First Look at Intel’s 14nm Fab 42 Manufacturing Facility]] <​nowiki>//</​nowiki>​ January 25, 2012 by Douglas Perry — source: VLSI Research; на русском:​ [[http://​thg.ru/​technews/​20120125_175600|Intel Fab 42: первые фото строящегося производства по созданию 14 нм процессоров]]. Цитата:​ «first volume production facility that is compatible with 450 mm wafers»))(([[http://​www.eetimes.com/​electronics-news/​4213295/​Intel-to-build-new-Arizona-fab-|Update:​ Intel to build fab for 14-nm chips]] <​nowiki>//</​nowiki>​ Mark LaPedus 2/18/2011 «Fab 42, will be a 300-mm plant. It will also be compatible for 450-mm»)) В стройку планируется вложить более $5 млрд. На момент запуска Fab 42 станет,​ по ожиданиям,​ одним из самых передовых в мире заводов по выпуску полупроводниковой продукции в больших объёмах.\\ 
 +В январе 2014 года Intel объявила о задержке открытия завода Fab 42(([[http://​www.extremetech.com/​computing/​174832-intel-cancels-14nm-fab-42-in-arizona-but-its-nothing-to-worry-about|Intel cancels 14nm Fab 42 in Arizona, due to increasing competition from ARM.]] <​nowiki>//</​nowiki>​ ExtremeTech)). Открытие завода планируется в IV квартале 2014 года, массовое производство в I квартале 2015 года(([[http://​www.digitimes.com/​news/​a20140212PD209.html?​mod=2|Intel postpones Broadwell availability to 4Q14]])).\\ 
 +По состоянию на май 2014 компания Samsung продолжает разработки техпроцессов 14 нм LPE/​LPP(([[http://​www.digitimes.com/​news/​a20140514PD208.html]])). В 2015 году Samsung будет выпускать процессоры для Apple по нормам 14 нм(([[http://​www.ixbt.com/​news/​hard/​index.shtml?​17/​48/​34|Samsung будет выпускать процессоры для Apple по нормам 14 нм]]. <​nowiki>//</​nowiki>​ iXBT.com)).\\ 
 +Апрель 2015 — Intel начинает продажи 14-нм процессоров Celeron N3000, N3050, N3150 и Pentium N3700 (Braswell).(([[http://​itc.ua/​news/​intel-nachinaet-prodazhi-14-nm-protsessorov-celeron-n3000-n3050-n3150-pentium-n3700-braswell/​|Intel начинает продажи 14-нм процессоров Celeron N3000, N3050, N3150 и Pentium N3700 (Braswell)]],​ 01.04.2015))\\ 
 +===10 нм=== 
 +Тайваньский полупроводниковый производитель United Microelectronics (UMC) сообщил,​ что присоединится к технологическому альянсу IBM для участия в разработке 10 нм CMOS-техпроцесса(([[http://​www.3dnews.ru/​news/​646765|UMC присоединится к IBM в разработке 10-нм техпроцесса]])).\\ 
 +В 2011 году публиковалась информация о планах Intel по развитию техпроцесса 10 нм к 2018 году(([[http://​www.3dnews.ru/​news/​614699|Просочившийся слайд Intel указывает на 10-нм техпроцесс в 2018 году]])).\\ 
 +Пробный выпуск продукции компании Taiwan Semiconductor Manufacturing Company (TSMC) по нормам 10 нм намечен на 2015 год, а серийный — на 2016 год(([[http://​www.ixbt.com/​news/​hard/​index.shtml?​18/​07/​44|В будущем году TSMC планирует начать пробный,​ а в 2016 году — серийный выпуск продукции по нормам 10 нм]])).\\ 
 +====См. также==== 
 +  * [[Закон Мура]] 
 +  * [[Полупроводниковая пластина]] 
 +  * [[Подложка]] 
 +  * [[Список микроэлектронных производств]] 
 +  * [[Микротехнология]] 
 +  * [[Нанотехнология]] 
 +  * [[Международный план по развитию полупроводниковой технологии]] (ITRS) — набор плановых документов мировых лидеров полупроводниковой промышленности,​ для международного планирования производства,​ исследований и соответствия технологий и техпроцессов в рамках индустрии. 
 +====Литература=== 
 +  * Готра З. Ю. Справочник по технологии микроэлектронных устройств. — Львов: Каменяр,​ 1986. — 287 с. 
 +  * Бер А. Ю., Минскер Ф. Е. Сборка полупроводниковых приборов и интегральных микросхем. — М: «Высшая школа»,​ 1986. — 279 с. 
 +====Ссылки==== 
 +  * Тасит Мурки. [[http://​www.ixbt.com/​cpu/​microelectronics.shtml|Закон Мура против нанометров. Всё, что вы хотели знать о микроэлектронике,​ но почему-то не узнали…]] <​nowiki>//</​nowiki>​ ixbt.com, 2 ноября 2011 
 +  * [[http://​www.icknowledge.com/​products/​icprocesses1505.pdf|Список техпроцессов от IC Knowledge Llc]], 2015-10-20 ​ (англ.) 
 +{{tag>"​Электронная промышленность"​}}
технологический-процесс-в-электронной-промышленности.1446550321.txt.gz · Последние изменения: 2015/11/03 14:32 (внешнее изменение)